PL-I   Opening Ceremony / Plenary Session I
November 17(Wed), 13:00~14:20 KST, 평창홀
좌장 : 이진균(인하대)
PL-I-1
조직위원장 개회사
*김성수 (연세대)

PL-I-2
한국광학회 회장 축사
*이윤우 (한국표준과학연구원)

PL-I-3
학술대회 10주년 기념사
*한재원 (연세대)

PL-I-4
EUV pellicle with 30 years of lithography life
*오혜근 (한양대)

W-EU-I   EUV Lithography I
November 17(Wed), 14:40~16:10 KST, 평창홀 1
좌장 : 안진호(한양대)
W-EU-I-1
Non-uniformity at the nanoscale - chemical stochastic effects in high resolution photoresists for lithography
*Gregory Denbeaux (SUNY POLYTECHNIC INSTITUTE)

W-EU-I-2
R&D Activities of EUV Lithography at NewSUBARU Synchrotron Light Facility
*Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa (University of Hyogo)

W-EU-I-3
FST's EUVL Infrastructure Tools Development: Meaning, Status, & Beyond
*Chulwoo Jung (에프에스티 (FST))

W-EU-II   EUV Lithography II
November 17(Wed), 16:30~18:00 KST, 평창홀 1
좌장 : 이상설(포항공대 가속기연구소)
W-EU-II-1
EUV용 blank/pellicle의 현황 및 개발 방향
*Chul Shin (에스앤에스텍 (S&S Tech))

W-EU-II-2
Actinic tools using EUV source for EUV lithography
*Donggun Lee (주식회사 이솔 (ESOL, Inc.))

W-EU-II-3
EUV photo-induced chemical reaction in hydrogen silsesquioxane
이종원, 김강현, 박병규 (포항가속기연구소), *이상설 (포항공대 가속기연구소)

W-EU-II-4
회절광 특성 최적화를 통한 극자외선 노광공정용 마스크 이미징 성능 향상
김연수, 정동민, 김득규, 조민선, *안진호 (한양대학교)

W-AL-I   Alternative Lithography I
November 17(Wed), 14:40~16:10 KST, 평창홀 2
좌장 : 박준용(금오공대)
W-AL-I-1
A new top-down lithography with high-aspect ratio and high-resolution
*Hee-Tae Jung (KAIST)

W-AL-I-2
Pattern transfer of large-scale thin membranes with controllable self-delamination interface for integrated functional systems
*Seok Kim (Pohang University of Science and Technology)

W-AL-I-3
Lithography technique to build-up protein nanostructures
*김성환 (아주대학교)

W-AL-II   Alternative Lithography II
November 17(Wed), 16:30~18:00 KST, 평창홀 2
좌장 : 전석우(KAIST)
W-AL-II-1
Nanoimprint for meta-surface
*Heon Lee (Korea University), Junsuk Roh (Postech)

W-AL-II-2
Builing up nanostructures by DNA-mediated colloidal self-assembly
*Gi-Ra Yi (POSTECH)

W-AL-II-3
Development of new optical components through proximity-field nanopatterning
*박준용 (금오공과대학교)

W-NF-I   Nano Fabrication for Next Generation Optical Devices I
November 17(Wed), 14:40~16:10 KST, 평창홀 3
좌장 : 김명기(고려대)
W-NF-I-1
Rapid Prototyping of Optical Fourier Surfaces/Volumes for AR/VR Displays
*Seungwoo Lee (Korea University)

W-NF-I-2
Near-field probing of image polaritons in van der Waals crystals
*장민석 (KAIST)

W-NF-I-3
온 칩 광공진기 기반 광 주파수 빗 발생
*이한석 (한국과학기술원)

W-NF-II   Nano Fabrication for Next Generation Optical Devices II
November 17(Wed), 16:30~18:00 KST, 평창홀 3
좌장 : 이승우(고려대)
W-NF-II-1
Printable Nanolasers
*김명기 (고려대학교)

W-NF-II-2
Probing long-range vibrational modes using metal nanogaps
*Hyeong-Ryeol Park (UNIST)

W-NF-II-3
Single-Step Particle-Embedded-Resin Nanoprinting for Scalable Optical Metasurfaces
*노준석 (포항공과대학교)

PL-II   Plenary Session II
November 18(Thu), 10:00-11:30 KST, 평창홀
좌장 : 이상설(포항공대 가속기연구소)
PL-II-1
EUV-IUCC 센터장/프로그램위원장 환영사
*안진호 (한양대)

PL-II-2
Extending semiconductor patterning into the next decade
*Michael Lercel (ASML)

PL-II-3
3 decades in lithography – the ever moving brick wall
*Bernd Geh (Carl-Zeiss)

T-PM-I   Patterning Materials I
November 18(Thu), 13:00~14:30 KST, 평창홀 1
좌장 : 이진균(인하대)
T-PM-I-1
Quantum manipulated metal oxo cluster networks for EUV resist
*정현담 (전남대학교)

T-PM-I-2
Continuous Flow Polymerization for Synthesis of Copolymer Resins Utilizable in Chemically Amplified Resists
*Myungwoong Kim (Inha University)

T-PM-I-3
Aligned Non-oxidized Graphene Aerogel as Efficient Support for Enhanced Oxygen Evolution Reaction of Copper Oxide Nanoparticles
Jin Kim, Anand Prakash Tiwari, Caiyan Qin, *Seokwoo Jeon (KAIST)

T-PM-I-4
Hard and flexible nanocomposite based on three-dimensional oxide nanostructures fabricated by Proximity-field nanoPatterning (PnP). 
배광민, *전석우 (한국과학기술원)

T-PM-II   Patterning Materials II
November 18(Thu), 14:50~16:50 KST, 평창홀 1
좌장 : 김명웅(인하대)
T-PM-II-1
ArF Immersion exposure interference pattern analysis and application
*Jungchul Song (National NanoFab Center)

T-PM-II-2
불소화 유기소재 기반 극자외선 레지스트 개발 연구
*이진균 (인하대학교), 이상설 (포항공대 가속기연구소), 정병준 (서울시립대학교)

T-PM-II-3
Perfect optical Fourier surfaces through holographic inscription
임용준, 강병수, 홍승재, 손희주, 방준하, *이승우 (고려대학교)

T-PM-II-4
Stable and highly efficient HOEs by using organic-inorganic hybrid interpenetrating networks
김광진, 양대겸, *이승우 (고려대학교)

T-PM-II-5
Alignment Methods of Liquid Crystal Elastomers and Their Actuations
손희주, *이승우 (고려대학교)

T-PM-II-6
Fabrication of 3D Nanostructured NiMo Electrocatalysts for the Hydrogen Evolution Reaction in Alkaline Solution
Kisun Kim, Anand P. Tiwari, *Seokwoo Jeon (한국과학기술원)

T-LO-I   Layout Optimization and Computational Lithography I
November 18(Thu), 13:00~14:30 KST, 평창홀 2
좌장 : 양현조(ASML)
T-LO-I-1
GPU-Accelerated Computational Lithography: ILT – Its Requirements and Implementations
*Yohan Choi (D2S Inc.)

T-LO-I-2
Stochastic modeling and prediction
*Jun Lang (ASML)

T-LO-I-3
Smart process control for semiconductor manufacturing 
*JIN SEOK HEO (KLA)

T-LO-II   Layout Optimization and Computational Lithography II
November 18(Thu), 14:50~16:50 KST, 평창홀 2
좌장 : 양현조(ASML)
T-LO-II-1
기계학습 기반을 활용한 최신기술 노드에서의 수율 향상 방법에 대한 제안
*김완호 (Siemens EDA)

T-LO-II-2
A Study on the Importance of Memory Device OPC Model Accuracy and Methods for Improvement
*김신영 (SK HYNIX)

T-LO-II-3
고해상도 printed circuit board 제작을 위한 최적의 i-line 노광 해상도 향상 기술 적용
김민우, 이준형, 박장군, 강인화, *오혜근 (한양대학교)

T-LO-II-4
Effects of Particles on Extreme Ultraviolet Pellicles: Computational Study
*Sang-kon Kim (홍익대학교)

T-LO-II-5
Test Pattern Clustering and Synthesis for Lithography Modeling
*Gangmin Cho, Yonghwi Kwon, Youngsoo Shin (KAIST)

T-LO-II-6
CNN-based Optimization of Resist model with Free-form Kernels
Yonghwi Kwon, *Youngsoo Shin (KAIST)

T-MI-I   Advanced Metrology and Inspection I
November 18(Thu), 13:00~14:30 KST, 평창홀 3
좌장 : 이명준(삼성전자)
T-MI-I-1
The Importance of massive measurement in semiconductor device development
*손영훈, 안재형, 류성윤, 박영환 (삼성전자)

T-MI-I-2
Metrology Concepts for EUV Tool and Process Monitoring
*Daniel Schmidt (IBM Research)

T-MI-I-3
Computational Phase Imaging
*Lei Tian (Boston University)

T-MI-II   Advanced Metrology and Inspection II
November 18(Thu), 14:50~16:50 KST, 평창홀 3
좌장 : 이명준(삼성전자)
T-MI-II-1
Material-specific super-resolution imaging of nano-patterned wafer 
*김두리 (한양대학교)

T-MI-II-2
EUV phase-sensitive imaging reflectometry for compositional mapping of nanostructures
*Yuka Esashi (University of Colorado, JILA)

T-MI-II-3
Next generation OCD metrology solution for the advanced semiconductor devices
*김욱래 (삼성전자)

T-MI-II-4
Ellipsometry 기반 Metrology/Inspection 장비 및 기술 개발 동향
*김 상열 (아주대학교, 엘립소테크놀러지)

PL-III   Best Student Paper Awards / Closing Ceremony
November 18(Thu), 17:00~17:20 KST, 평창홀
좌장 : 김성환(아주대)
PL-III-1
학생논문상 심사평 및 시상
*김성환 (아주대), 정진항 (ASML)

PL-III-2
조직위원장 폐회사
*김향균 (홍익대)

PS1   EUV Lithography
PS1-1
MoSi2 단일막 EUV 펠리클의 광학적/열적 특성 평가
김원진, 김정환, 위성주, 김하늘, *안진호 (한양대학교)

PS1-2
펠리클 주름에 의한 반사도 변화와 패턴 임계치수에 미치는 영향 연구
문승찬, 이동기, 김영웅, *안진호 (한양대학교)

PS1-3
극자외선 펠리클의 처짐에 대한 잔류 응력의 영향
강영우, 김정환, 위성주, 김하늘, 김창수, 김원진, *안진호 (한양대학교)

PS1-4
고 개구수 극 자외선용 phase shift mask 를 위한 최적의 phase shift 와 reflectance
박장군, 강인화, 김민우, 이준형, *오혜근 (한양대학교)

PS1-5
Dose 량 및 감광제를 포함한 박막층에 따른 극자외선 노광에 의한 웨이퍼의 열적 변형
고희창, 강지원, 강인화, *오혜근 (한양대학교)

PS1-6
오염 물질 충돌에 의한 EUV pellicle 의 기계적 안정성 평가
강지원, 고희창, 강인화, *오혜근 (한양대학교)

PS1-7
Development of one-stop evaluation system for extreme ultraviolet photoresist using synchrotron radiation
Kanghyun Kim (Postech), Jong-Won Lee, Byeong-Gyu Park, Geonhwa Kim, Jiho Kim (pohang accelerator laboratory), *Geunbae Lim (Postech), *Sangsul Lee (pohang accelerator laboratory)

PS1-8
EUV lighting technology using carbon nanotube-based cold cathode electron beam
유승태, *박규창 (경희대학교)

PS2   Patterning Materials
PS2-1
Highly Fluorinated Alternating Copolymers as EUV Resist Candidates
구예진, *이진균 (인하대학교), 정병준 (서울시립대학교), 이상설 (포항가속기연구소)

PS3   Nano Fabrication for Next Generation Optical Devices
PS3-1
Fabrication of nanoring array by angle E-beam evaporation and ICP-RIE
이기중, *신용범 (한국생명공학연구원)

PS3-2
Wafer-scale large-area fabrication for multi-sized nano-disk-array visible camouflage IR stealth MSM meta-surface device by BARC-based DUV-lithography-compatible oxygen dry-etch lift-off method
Jookwon Song, *Jaewon Hahn (연세대학교)

PS3-3
Metal-Semiconductor-Metal Metasurface for the Multispectral Camouflage from Visible to Infrared Range
김자경, 박창훈, *한재원 (연세대학교)

PS4   Alternative Lithography
PS4-1
Microfluidics for monodisperse droplets of highly viscous fluids
김현호, *이승우 (고려대학교)

PS4-2
DNA-based nanodevices through algorithmic self-assembly
박성훈, *이승우 (고려대학교)

PS4-3
Implementation of fine patterning using ALD and nano-imprint lithography
Jieum Kim, *Jung Wook Lim, Jaehee Lee, *Sung-Hoon Hong (Electronics and Telecommunications Research Institute, University of Science and Technology)

PS4-4
Eco-friendly deep ultraviolet photolithography using silk photoresist
Juwan Choi, Rakesh Kumar Jha, *Sunghwan Kim (아주대학교)

PS4-5
Nanoimprinted PVA nanopattern for humidity sensor application
김도아, 김수정 (한국전자통신연구원), 정명영 (부산대학교), *홍성훈 (한국전자통신연구원)

PS4-6
Optimization method for enhancing precision in DMD-based maskless lithography
최진수, *유홍기 (한국과학기술원)

PS5   Advanced Metrology and Inspection
PS5-1
다층-박막구조 OLED 패널 내부 이물 높이 비파괴적 측정 연구
이정빈, 주지용, *이준호 (공주대학교), 장원혁, 문정태 (삼성디스플레이)

PS5-10
차등진공 시스템과 초소형 전자칼럼의 전자방출원 연구 
김형우, 이영복, 안승준, 김대욱, 오태식, *김호섭 (선문대학교)

PS5-11
플렌옵틱 이미지 축해상도 모델링 및 경향성 분석
한석기, 주지용, 장관우, 이정빈, 김도희, 차재덕, *이준호 (공주대학교)

PS5-2
고체내에서의 고차조화파 위상 정합을 이용한 극자외선 빔 제어 Ultraviolet Beam Control using Phase Matching of High Harmonic Generation in Solids
최승만, 김병기, 원승재, 김태원, 김영진, *김승우 (KAIST)

PS5-3
Development of autofocus microscope system that responds to changes in object height in real time
*문지환, 이정석 (명지대학교), 하응주 (머신앤비전), 정중연 (넷사이언스), 김재순 (명지대학교)

PS5-4
플렌옵틱 이미지 모델링 및 측정 비교 분석
장관우, 한석기, 주지용, 차재덕, 이정빈, 김도희, *이준호 (공주대학교)

PS5-5
반도체 결함 검사 장비용 193nm High-NA 대물렌즈 광학 설계
김도희, 주지용, 한석기, 차재덕, 이정빈, 장관우, *이준호 (공주대학교)

PS5-6
Temporal characterization of plasmonic near-field in a gold nanostructure array driven by femtosecond laser pulses
*김경승 (기초과학연구원)

PS5-7
Simulation and fabrication of high-resolution electron beam with carbon nanotube electron sources
Bhotkar Ketan, *Kyu Chang Park (Kyung Hee university)

PS5-8
Robustness enhancement of dynamic spectroscopic ellipsometry
*Daesuk Kim, 최인호, 황국현 (전북대학교)

PS5-9
Model-less TSOM 적용 OLED 입자 결함 분류 및 높이 측정
주지용, 이정빈, *이준호 (공주대학교), 장원혁, 문정태 (삼성디스플레이)

PS6   Lasers for Lithography
PS6-1
미세 PR 패터닝을 위한 비대칭 접힘 티타늄 사파이어 레이저의 모드 잠금된 극초단 펄스 발생 연구
박성태, 김성수, 정상규, 장정현, 장명재, 김민석, *김현수 (조선대학교 광기술공학과)

PS6-2
EUV 발생용 고출력 대구경 광섬유 레이저 매질로 개발된 역 계단/언덕 혼합형 굴절 구조의 광섬유 벤딩 손실 분석 연구  
장명재, 장정현, 김민석, 김성수, 박성태, 정상규, *김현수 (조선대학교)

PS7   Sensors
PS7-1
패터닝 된 이황화 몰리브덴 나노 필름을 이용한 웨어러블 습도 센서 연구
김은진, 홍광식, *권민기 (조선대학교)

PS7-2
패터닝 된 이차원 이황화 몰리브덴 박막을 이용한 광섬유 센서 및 이의 호흡 모니터링 응용 연구
홍광식, 문종주, 김은진, 오광근, 김현승, 고영민, *권민기, 안태정 (조선대학교)

PS8   Stealth Technology
PS8-1
Optically transparent bi-stealth frequency selective surface absorber
한기욱 (연세대학교), 심현보, *한재원 (연세대학교 기계공학과)

PS9   Advanced Etch Technology
PS9-1
Radial plasma profile modification of VHF/LF 2f-CCP dry etchers by electrode geometric design variation 
Jae Been LEE, *Jung hoon JOO (군산대학교)